Tuesday, June 4, 2019

Digital Intermediate Frequency in LTE Superheterodyne

Digital Intermediate Frequency in LTE SuperheterodyneResearch and implementation of the digital intermediate frequence in LTE superheterodyne transmitterAbstractDigital IF (Intermediate Frequency) is an indispensable key technology in modern communication, among which digital up converter (DUC) and digital down converter (DDC) are Coperni rat parts betwixt base reverbe roam and IF. In this paper, we give a general method and solution of excogitateing digital IF to come across the demands of practical application. Firstly, we analyze the theories and technologies of digital IF including carry bank and DUC. Secondly, we set about analyzing how to end dawn bank in key part and implement a superheterodyne. Finally, we put forward a particular purport plan of DUC and develop a digital IF suitable for TDD-LTE (Time Division Long Term Evolution) system. The results of framework show that our design method of DUC can fulfill the requirements of relevant indicators. It has been implemented on FPGA platform in a practical LTE based transmitter. fraction I. IntroductionNowadays, digital subscriber line (DSL) ne twainrk farthermost remains one of the most promising core of broadband communications. DSL communication is evolved from conventional discrete multitone (DMT) system to driped architectures. The smooth evolution of interpenetrateed multitone, FMT, provides higher f commenceing pasture and higher spectrum efficiency as the widening of the limited frequency increases.As the frequency resources become increasingly scarce, research on subband coding in the field of high-speed DSL communication is of great significance. Adaptive subband estimate is the core of the FMT receiver. With the development of FMT technology, an orthogonal stress bank transmissions have become the think of attention.As the key technology of recent multirate systems, filter banks technology is also the starting propose to a breakthrough of frequency selective transmissions .For frequency selective channels, digital up-conversion must be completed firstly in the first place filtering. Analysis filter bank structure mainly includes filtering, interpolating, mixing and resampling. Filter bank implementation involves the computation of an effectual spectral allocation and often estimates high complexities. It is very difficult to implement full corresponding spectral after sampling employ the current DMT 2. In application design, the common method is to white plague DMT in the time-domain to achieve digital up-conversion. In this paper, we mainly research the theoretical partistics of the digital up-conversion in the frequency-domain, and design a set of digital up-conversion scheme suitable for FMT system. Finally, the design of subband transmissions is implemented.SECTION II. Design Requirements of DUCDUC usually needs spectrum shifting that modulate the baseband subscribe to band- see mansion.Beca intent of the lower sampling rate of baseband d irect, it cannot meet the needs of radio-frequency emission.The sampling rate conversion should be added.The method to implement DUC is as follows.At first, the argue is divided into dickens channels baseband signal (I, Q).Then the signal is transformed into a higher sampling rate through the filter ejaculation.Finally the 2-way signals are individually modulated and thus the spectrum is moved to a specific point through the digital mixer.Up-converter module is realized using filter bank cascade and eventually modulate it to realize the spectrum shift.The up-converter principle block diagram is shown in Fig. 1. body-build 1Figure 1.The up-converter principle block diagram. facial expression anyUsually, the sampling rate can be improved through the filtering and insertion 3. We modulate the baseband signal to the NCO (Numerically Controlled Oscillator) intermediate frequency carrier. This paper designs a broadband wireless DUC which is operated based on the TDD-LTE standard an d the bandwidth is 5MHz. The Third-Generation Partnership Project (3GPP) standards specifies that the sampling rate of signal carrier 5MHz bandwidth TDD-LTE is 7.68Msps. In order to shift the spectrum to the intermediate frequency 21.4MHz, signal needs to enforce interpolation for 8 measure to achieve the sampling rate up to 6I.44Msps. Then we make analog transformation in DAC according to the Nyquist sampling theorem. DUC provides the voice of spectrum shaping and improving the sampling rate, with the premise of satisfying the requirements of 3GPP standards. In 3GPP TS 36.804, it puts forward the specific spectrum requirements to the front-end of digital transmitter. On the one hand, the suppression performance outside the band of filter needs to meet the regulation of the spectrum template 4, 5. On the other hand, it needs to satisfy the requirement of the 5MHz broadband. In addition, the sampling rate should be change magnitude 8 times. The specific indicators is shown in Tab le 1.Table I. Design requirements of DUCTable I.SECTION III. Detailed Design Scheme of DUCIn this paper, the multi-stage interpolation is adopted in DUC part. The total design is shown as Fig. 2Figure 2Figure 2.Digital up converter design. find AllWe need 8 times interpolation, therefore the design uses two CIC filters of 2-fold interpolation. Because the interpolation factor is a power of 2 times, particularly suitable for Half-band filter, we cascade a Half-band filter to achieve interpolation filtering function.A. Interpolation TheoryInterpolation 6 is an integer multiple insertion of the original sequence. We do I times interpolation by inserting (I-1) zeroes between the adjacent two sampling points. The relationship between original sequence x(n) and interpolated sequence xI(n) is shown asxI(n)=x(nI), 0,nI is integerothers(1) fit SourceRight-click on puzzle out for MathML and additional features.Spectrum relationship is keep backed asXI(ej)=X(ejI)(2)View SourceRight-click on figure for MathML and additional features.The original sequence does I-fold interpolation in the time domain, which is I times compression for the original spectrum. General interpolation method is inserting zeros between the sampling sequences. However, the signal spectrum XI(ej) after interpolation not only contains the fundamental frequency X(ej), but also high frequency mirror, the frequency of which is greater than /I. In order to recover the original signal spectrum from XI(ej) we need to put signal through a low-pass filter with bandwidth /I. After low pass filtering, the inserted zero point smoothly get into the accurate value of x(n).Figure 3Figure 3.Signal and spectrum before and after interpolation.View AllThe maximum output frequency of interpolation can reach (I-1) times of which of original sequences. The frequency spectrum of the signal is consistent with the original spectrum but frequency shifts, as is shown in Fig. 3.When the sampling rate is transformed, the I tim es interpolation can be realized by using only single stage. But if we design a low pass filter with larger interpolation multiple factor using the same method of single stage, the order of the transfer function h(n) will be relatively high. Its difficult to implement on an embedded hardware for its large requirement of storage and calculation. So in order to implement easier and reduce calculation, this paper uses a method of multi-stage interpolation and cascaded together 7. A simple two-stage interpolation method is shown as follow Fig. 4Figure 4Figure 4.Multi-level implementation of interpolation(i=i1*12).View AllAfter processing by multi-stage method, the order of the interpolation filter is decreased obviously.B. CIC Filter Principle and DesignCIC (Cascade Integrator Comb) filter, a kind of efficient extraction or interpolation filter, is been widely used in the multi-rate signal processing. The basic components of CIC filter include two parts integral and comb filtering. Si ngle-stage CIC decimation and interpolation filter is shown in Fig. 5. Where, M is latency state, D is extraction factor and L is interpolation factor.Figure 5Figure 5.CIC decimation and interpolation filter structure.View AllIn single-stage decimation CIC filter, the integrator stages N=1, and the feedback coefficient is 1 The state equation is defined asy(n)=y(n1)+x(n)(3)View SourceRight-click on figure for MathML and additional features.From (3) we can obtain the Z transform asHI(Z)=11Z1(4)View SourceRight-click on figure for MathML and additional features.The state equation of comb section is defined asy(n)=x(n1)x(nDM)(5)View SourceRight-click on figure for MathML and additional features. where M is state delay, its function of Z transform is asHC(Z)=1ZDM(6)View SourceRight-click on figure for MathML and additional features.Transfer function of extraction CIC filter is defined asH(z)=HI(Z)HC(Z)=11Z1(1ZDM)(7)View SourceRight-click on figure for MathML and additional features.From (7) we can obtain its frequency reaction asH(ej)=sin(DM/2)sin(/2)(8)View SourceRight-click on figure for MathML and additional features.The bounteousness-frequency characteristics of single-stage CIC filter has a higher sidelobe, so we can use a multi-stage cascade CIC filter to reduce sidelobe and improve stop-band fading. The system function of CIC filter can be expressed asH(z)=HNI(Z)HNI(Z)=(11Z1)N(1ZDM)N=D1K=0ZkN(9)View SourceRight-click on figure for MathML and additional features.When we set CIC filters order D to the multiple factor of interpolation I and do an equivalent transformation, the CIC interpolation filter cascading in practical applications will be shown as Fig. 6Figure 6Figure 6.Cascading structure of CIC interpolation filter.View AllConsidering the system requirements and debugging repeatedly by MATLAB, we set the design with stages N=2, sampling rate R=3 and delay M=1. Then we can get the frequency response of interpolation CIC filter with the parameters N=5, M=1,R=3, as shown in Fig. 7Figure 7Figure 7.Frequency response of CIC filter after 2x interpolation.View AllC. CIC Compensation Filter DesignCIC filter has good anti-imaging and anti-aliasing effects. In the design of the decimation/interpolation filter, it is indispensable to get a relatively flat pass-band and a relatively narrow transition band especially for broadband systems. Consequently, if the CIC is applied to the broadband systems, the pre-compensation and compensation filter which can significantly improve the performance of the filter is needed.The amplitude response of the compensation filter is the reciprocal of the CIC filter in ideal circumstances. When we use an equal- pleat FIR 8 filter with anti-sinc function as the CIC compensation filter, its spectrum after two filters cascade will be shown as Fig. 8.According to the requirements of 5MHz bandwidth of our special TDD-LTE system, we set the parameters of CIC filter as fellow cutoff frequency of pass-band B=5MHz, i nterpolating multiple D=2 and input sampling rate is 30.72MHz.Figure 8Figure 8.CIC and CIC compensation filter cascade effect.View AllThe so-called compensation means cascading a compensation filter in front of the filter bank. After simulation cascade in MATLAB, the band ripple of CIC filter is shown in Fig. 8(b). As can be seen from the figure, the band ripple drops about 3dB. After compensation filter processing, we find the attenuation of pass-band ripple improves so significantly that it can meet the design requirements.D. Half-Band Filter DesignHalf-band filter is a pretty useful and special filter and it plays an important role in the high speed signal processing and multi-stage filter design. Half-band filter is also a kind of FIR filter, and its impulse response h(k) is even symmetry with respect to the coordinate axis with the character of h(k)=h(-k).h(k)=1,0,k=0k=2,4(10)View SourceRight-click on figure for MathML and additional features.According to the above formula, we learn that the impulse response of Half-band filter is not 0 except in the coordinate zero point but the rest of even position is 0. This feature is very suitable for achieving the decimation and interpolation of power of 2 times. When using Half-band filter for sample rate conversion, the system can save half amount of calculation.Therefore, Half-band filter cascade is widely used in high order filter design and high-speed processing.For Half-band filter, Stop-band bandwidth A is equal to pass bandwidth c, and the width of the transition zone is determined by the pass bandwidth and sample rate together by consensus. In addition, the pass-band and stop-band ripple is the same. As is shown in Fig. 9Figure 9Figure 9.Half-band filter.View AllAs we can see from above figure, the response of Half-band filter in /2A interval is not zero. When making 2 times extraction, it does not satisfy the conditions of extraction without aliasing, which will result in signal aliasing distortion. But t he spectral structure of signal (0c) in the pass-band has not been damaged and it can still be recovered without aliasing, so we can still use Half-band filter to design 2-times sample rate conversion of pass-band signal. In DUC design of this paper, we cascade a Half-band filter to achieve 2-times interpolation.After completing the design of filter banks, we simulate the solution in MATLAB and the result is shown in Fig. 10Figure 10Figure 10.Frequency response of our filter bank.View AllSECTION IV.Simulation ResultsA typical TDD-LTE signal with 5MHz bandwidth is shown in Fig. 11. The baseband signal at the zero frequency is moved to 21.4MHz after up-converter.Figure 11Figure 11.Signal spectrum before and after up-converter.View AllAs can be seen from the figure above, the design of our program meets the design requirements. Specially, in Fig. 11(a) we add two noise signal outside the passband into input signal. After the designed filter banks, the additional noise signal is also no rmally filtered out and the indicators such as band attenuation and ripple all meet the requirements. It is shown in Fig. 11(b)-(c).SECTION V.Implementation on FPGAAt the end of design, Xilinxs xc7a200t-3fbg676 chip is selected to implement the system using VHDL language 9. Simulating and synthesizing processes run in Xilinx 10 ISE14.3 development environment. The specific implementation structure is shown in Fig. 12. There are mainly five parts 1) DUC_pre_tx (stabilizing date rate of the up-converter input data to tell a constant rate for the next module) 2) CIC _ compensation (compensation filter of CIC filter which has a certain filtering characteristic) 3) CIC filter (achieving the function of filtering and interpolation) 4) halfband (achieving the function of filtering and interpolation) 5) dds_modulate_tx (moving spectrum from zero frequency to IF 21.4MHz).Figure 12Figure 12.Realization diagram of fpga.View AllFig. 13 shows the signal waveform after interpolation with CIC com pensation module in simulation tool ModelSim. As can be seen from the figure, the input signal is filtered as expected. The original signal is mixed with high-frequency signal and the high-frequency part is filtered through CIC filter compensation. It indicates that our filter design has reached satisfactory results.The signal waveform processed by CIC and halfband filter is shown in Fig. 14. We can see from figure that the original input signal becomes smooth, which suggests that the signal processing is successful.The signal waveform processed by dds _ modulate _ tx module spectrum shifting is shown in Fig. 15. In the figure, we can see that the signal spectrum has been moved successfully from baseband to intermediate frequency.Figure 13Figure 13.Waveform and spectrum before and after interpolation.View AllFigure 14Figure 14.Waveform after CIC and half-band filter.View AllFigure 15Figure 15.Waveform and spectrum after frequency shifting.View AllSECTION VI. ConclusionThis paper int roduces a general design method for digital IF and analyzes the main parameters that affect its performance. According to the specific index of TDD-LTE, we calculate the values of various parameters that the system needs. In addition, we analyze the frequency spectrum, band-pass ripple and band-stop ripple to judge whether they meet the requirements. The designs of CIC filter and Half-band filter are analyzed respectively. After that we analyze and design a CIC filter compensation best with the break up of achieving possible to meet the system design requirements. Finally, we verify the actual effect of the filter in Model and implement the design on FPGA platform. The result suggests that the design method is reasonable and feasible.

No comments:

Post a Comment

Note: Only a member of this blog may post a comment.